Re: [PATCH 0/2] Further Increase default file handle limits

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 



On 10/18/2017 11:19 AM, Christian Ehrhardt wrote:
> In 27cd7635 new default limits were set:
>   Author: Daniel P. Berrange <berrange@xxxxxxxxxx>
>   Date:   Wed Mar 15 16:51:51 2017 +0000
> 
>     Increase default file handle limits for daemons
> 
> But I faced some constraints with these values and think it is time to
> reconsider the defaults to only have to be tweaked in really uncommon cases.
> 
> Christian Ehrhardt (2):
>   Increase default file handle limits for virtlogd
>   Increase default file handle limits for virtlockd
> 
>  src/locking/virtlockd.service.in | 4 ++--
>  src/logging/virtlogd.service.in  | 6 ++++--
>  2 files changed, 6 insertions(+), 4 deletions(-)
> 

ACKed and pushed.

Michal

--
libvir-list mailing list
libvir-list@xxxxxxxxxx
https://www.redhat.com/mailman/listinfo/libvir-list



[Index of Archives]     [Virt Tools]     [Libvirt Users]     [Lib OS Info]     [Fedora Users]     [Fedora Desktop]     [Fedora SELinux]     [Big List of Linux Books]     [Yosemite News]     [KDE Users]     [Fedora Tools]
  Powered by Linux