how do i query the type of an object?

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 



Is there some way to query the data-type of ‘<some value _expression_>’?

 


[Index of Archives]     [KVM ARM]     [KVM ia64]     [KVM ppc]     [Virtualization Tools]     [Spice Development]     [Libvirt]     [Libvirt Users]     [Linux USB Devel]     [Linux Audio Users]     [Yosemite Questions]     [Linux Kernel]     [Linux SCSI]     [XFree86]

  Powered by Linux