Re: Problem in compiling simple module.

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 



I didnot change any settings using menuconfig. I just kept default.

    it is not only for atm for others also same error is repeating...
I had attached the error file...
i think your .config is the problem. e.g. pi_register symbol comes
from parallel-port ide driver. and looks like it is properly exported.
so is the case with others.

anupam

--
In the beginning was the lambda, and the lambda was with Emacs, and
Emacs was the lambda.

--
Kernelnewbies: Help each other learn about the Linux kernel.
Archive:       http://mail.nl.linux.org/kernelnewbies/
FAQ:           http://kernelnewbies.org/faq/



[Index of Archives]     [Newbies FAQ]     [Linux Kernel Mentors]     [Linux Kernel Development]     [IETF Annouce]     [Git]     [Networking]     [Security]     [Bugtraq]     [Yosemite]     [MIPS Linux]     [ARM Linux]     [Linux RAID]     [Linux SCSI]     [Linux ACPI]
  Powered by Linux