Re: [PATCH 04/11] gpio: tegra: Iterate over the correct number of banks

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 



On Wed, Apr 04, 2012 at 12:41:54PM -0600, Stephen Warren wrote:
> On 04/04/2012 11:58 AM, Olof Johansson wrote:
> > On Fri, Mar 30, 2012 at 04:59:57PM -0600, Stephen Warren wrote:
> >> From: Stephen Warren <swarren@xxxxxxxxxx>
> >>
> >> When Tegra30 support was added to the Tegra GPIO driver, a few places
> >> which iterated over all banks were not converted to use the variable
> >> tegra_gpio_bank_count rather than hard-coding the bank count. Fix this.
> >>
> >> Signed-off-by: Stephen Warren <swarren@xxxxxxxxxx>
> > 
> > Acked-by: Olof Johansson <olof@xxxxxxxxx>
> > 
> > Should this be fixed in 3.4 as well? Given the need for some of the other
> > patches in this series I suppose gpio isn't really working on tegra30 there
> > though, so it's not technically a regression...
> 
> It's probably not a bad idea, even if we don't expect many users; the
> code may as well be correct. I assume since 3.4 is still in the rc
> stage, I would send an explicit pull request to arm-soc for that rather
> than just Cc: stable.

Correct.

> I wonder if "gpio: tegra: fix register address calculations for Tegra30"
> shouldn't be applied (after addressing your comments) for 3.4 too? It
> might be a little large of a change?

Yep, that one too.


-Olof
--
To unsubscribe from this list: send the line "unsubscribe linux-tegra" in
the body of a message to majordomo@xxxxxxxxxxxxxxx
More majordomo info at  http://vger.kernel.org/majordomo-info.html


[Index of Archives]     [ARM Kernel]     [Linux ARM]     [Linux ARM MSM]     [Linux USB Devel]     [Video for Linux]     [Linux Audio Users]     [Yosemite News]     [Linux Kernel]     [Linux SCSI]

  Powered by Linux