Re: [PATCH 0/4] Integrate dm-latency functionality to dm-statistics

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 




On Mon, 15 Jun 2015, Coly Li wrote:

> >> 2, There should be a maximum latency histogram boundaries limitation. 
> >> Maybe you do it in the patch and I missed it, that's should be my fault.
> > There is no maximum - and there doesn't need to be any maximum because the 
> > array is allocated dynamically.
> Maybe I am too paranoid, IMHO dynamically allocated object should have a
> maximum limitation, in case of it occupies too much system resource (e.g
> memory here).

There is already that limitation of 1/4 total physical memory.

Mikulas

--
dm-devel mailing list
dm-devel@xxxxxxxxxx
https://www.redhat.com/mailman/listinfo/dm-devel



[Index of Archives]     [DM Crypt]     [Fedora Desktop]     [ATA RAID]     [Fedora Marketing]     [Fedora Packaging]     [Fedora SELinux]     [Yosemite Discussion]     [KDE Users]     [Fedora Docs]

  Powered by Linux