Re: [PATCH v11 5/6] i2c: designware: add SLAVE mode functions

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 




On 06/19/2017 07:22 PM, Wolfram Sang wrote:

I wonder if we haven't reached a state where Luis just could fix the
buildbot error (missing 'select I2C_SLAVE') and the thing pointed out by
Andy and we handle further small fixes incrementally during the v4.13
cycle? AFAICS there is no major show-stopper, or am I wrong?

I would go with the following plan:
1. Push 1-4
2. Resend 5-6 with addressed pointed issues for one more (fast) round

Good, so I'll pick up 1-4 now. Thanks!

Yep, that's easier for everyone.

--
Jarkko
--
To unsubscribe from this list: send the line "unsubscribe devicetree" in
the body of a message to majordomo@xxxxxxxxxxxxxxx
More majordomo info at  http://vger.kernel.org/majordomo-info.html



[Index of Archives]     [Device Tree Compilter]     [Device Tree Spec]     [Linux Driver Backports]     [Video for Linux]     [Linux USB Devel]     [Linux PCI Devel]     [Linux Audio Users]     [Linux Kernel]     [Linux SCSI]     [XFree86]     [Yosemite Backpacking]


  Powered by Linux